Model { Name "dcpa" Version 3.00 SimParamPage "Solver" SampleTimeColors off InvariantConstants off WideVectorLines off ShowLineWidths off ShowPortDataTypes off StartTime "0.0" StopTime "20" SolverMode "SingleTasking" Solver "ode45" RelTol "1e-3" AbsTol "1e-3" Refine "1" MaxStep ".010" InitialStep "auto" FixedStep "auto" MaxOrder 5 OutputOption "RefineOutputTimes" OutputTimes "[]" LoadExternalInput off ExternalInput "[t, u]" SaveTime on TimeSaveName "t" SaveState off StateSaveName "xout" SaveOutput off OutputSaveName "yout" LoadInitialState off InitialState "xInitial" SaveFinalState off FinalStateName "xFinal" SaveFormat "Matrix" LimitMaxRows off MaxRows "1000" Decimation "1" AlgebraicLoopMsg "warning" MinStepSizeMsg "warning" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" InheritedTsInSrcMsg "warning" IntegerOverflowMsg "warning" UnnecessaryDatatypeConvMsg "none" Int32ToFloatConvMsg "warning" SignalLabelMismatchMsg "none" ConsistencyChecking "off" ZeroCross on SimulationMode "normal" BlockDataTips on BlockParametersDataTip on BlockAttributesDataTip off BlockPortWidthsDataTip off BlockDescriptionStringDataTip off BlockMaskParametersDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off OptimizeBlockIOStorage on BufferReuse on BooleanDataType off RTWSystemTargetFile "grt.tlc" RTWInlineParameters off RTWRetainRTWFile off RTWTemplateMakefile "grt_default_tmf" RTWMakeCommand "make_rtw" RTWGenerateCodeOnly off ExtModeMexFile "ext_comm" ExtModeBatchMode off ExtModeTrigType "manual" ExtModeTrigMode "oneshot" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect off Created "Tue Jan 18 19:43:05 2000" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" ModifiedDateFormat "%" LastModifiedDate "Tue Jan 18 19:50:15 2000" ModelVersionFormat "1.%" ConfigurationManager "none" BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } System { Name "dcpa" Location [2, 74, 802, 558] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" AutoZoom on ReportName "simulink-default.rpt" Block { BlockType Inport Name "dlinmod \ninput" Position [160, 196, 185, 224] ForegroundColor "blue" DropShadow on FontName "Arial" FontSize 14 Port "1" PortWidth "-1" SampleTime "-1" DataType "auto" SignalType "auto" Interpolate on } Block { BlockType Mux Name "Mux" Ports [2, 1, 0, 0, 0] Position [530, 33, 570, 82] ForegroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 Inputs "2" DisplayOption "none" } Block { BlockType TransferFcn Name "Plant" Position [375, 120, 480, 180] BackgroundColor "yellow" DropShadow on FontName "Arial" FontSize 14 Numerator "[1]" Denominator "[1 3 2]" } Block { BlockType SignalGenerator Name "Reference" Position [35, 113, 95, 147] BackgroundColor "cyan" DropShadow on FontName "Arial" FontSize 14 WaveForm "square" Amplitude "1.000000" Frequency "0.315000" Units "rad/sec" } Block { BlockType Scope Name "Scope" Ports [1, 0, 0, 0, 0] Position [595, 32, 635, 88] BackgroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 Floating off Location [464, 280, 795, 594] Open off NumInputPorts "1" TickLabels "on" ZoomMode "on" List { ListType AxesTitles axes1 "%" } Grid "on" TimeRange "20.000000" YMin "-2" YMax "2" SaveToWorkspace off SaveName "ScopeData" DataFormat "Matrix" LimitMaxRows on MaxRows "5000" Decimation "1" SampleInput off SampleTime "0" } Block { BlockType SubSystem Name "Subsystem" Ports [1, 1, 0, 0, 0] Position [270, 112, 335, 188] ForegroundColor "red" DropShadow on ShowName off FontName "Arial" FontSize 14 ShowPortLabels off MaskType "Digital controller" MaskDescription "Digital controller" MaskPromptString " numerator:|denominator:|sampling period:" MaskStyleString "edit,edit,edit" MaskTunableValueString "on,on,on" MaskCallbackString "||" MaskEnableString "on,on,on" MaskVisibilityString "on,on,on" MaskInitialization "ncq=@1;dcq=@2;T=@3;" MaskDisplay "disp('C(z)')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "[29.12 -48.25 20]|[1 -1.21 0.21]|0.1" System { Name "Subsystem" Location [2, 72, 798, 552] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" AutoZoom on Block { BlockType Inport Name "in_1" Position [15, 75, 35, 95] Port "1" PortWidth "-1" SampleTime "-1" DataType "auto" SignalType "auto" Interpolate on } Block { BlockType DiscreteTransferFcn Name "Digital controller" Position [70, 58, 135, 112] ForegroundColor "red" DropShadow on Numerator "ncq" Denominator "dcq" SampleTime "T" } Block { BlockType ZeroOrderHold Name "Zero-Order\nHold" Position [165, 64, 205, 106] ForegroundColor "red" DropShadow on SampleTime "T" } Block { BlockType Outport Name "out_1" Position [230, 75, 250, 95] Port "1" OutputWhenDisabled "held" InitialOutput "0" } Line { SrcBlock "Digital controller" SrcPort 1 DstBlock "Zero-Order\nHold" DstPort 1 } Line { SrcBlock "in_1" SrcPort 1 DstBlock "Digital controller" DstPort 1 } Line { SrcBlock "Zero-Order\nHold" SrcPort 1 DstBlock "out_1" DstPort 1 } } } Block { BlockType Sum Name "Sum" Ports [3, 1, 0, 0, 0] Position [220, 116, 245, 184] ForegroundColor "red" DropShadow on ShowName off FontName "Arial" FontSize 14 IconShape "rectangular" Inputs "+-+" SaturateOnIntegerOverflow on } Block { BlockType ToWorkspace Name "To Workspace" Position [585, 137, 625, 163] BackgroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 VariableName "y" Buffer "10000" Decimation "1" SampleTime "0" SaveFormat "Matrix" } Block { BlockType ToWorkspace Name "To Workspace1" Position [35, 32, 75, 58] Orientation "left" BackgroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 VariableName "r" Buffer "10000" Decimation "1" SampleTime "0" SaveFormat "Matrix" } Block { BlockType Outport Name "dlinmod\noutput" Position [590, 202, 615, 228] ForegroundColor "green" DropShadow on FontName "Arial" FontSize 14 Port "1" OutputWhenDisabled "held" InitialOutput "0" } Line { SrcBlock "Subsystem" SrcPort 1 DstBlock "Plant" DstPort 1 } Line { SrcBlock "Sum" SrcPort 1 DstBlock "Subsystem" DstPort 1 } Line { SrcBlock "Mux" SrcPort 1 DstBlock "Scope" DstPort 1 } Line { SrcBlock "dlinmod \ninput" SrcPort 1 Points [15, 0] DstBlock "Sum" DstPort 3 } Line { SrcBlock "Reference" SrcPort 1 Points [15, 0] Branch { DstBlock "Sum" DstPort 1 } Branch { Points [0, -85] Branch { DstBlock "Mux" DstPort 1 } Branch { DstBlock "To Workspace1" DstPort 1 } } } Line { SrcBlock "Plant" SrcPort 1 Points [20, 0] Branch { Points [53, 0] Branch { DstBlock "To Workspace" DstPort 1 } Branch { Points [0, 65] DstBlock "dlinmod\noutput" DstPort 1 } } Branch { Points [0, 120; -385, 0; 0, -120] DstBlock "Sum" DstPort 2 } Branch { Points [0, -80] DstBlock "Mux" DstPort 2 } } Annotation { Position [332, 297] VerticalAlignment "top" ForegroundColor "blue" Text "LOOP TO TEST DIGITAL CONTROL OF A CONTINUOUS PL" "ANT." DropShadow on FontName "Arial" FontSize 14 } Annotation { Position [327, 337] VerticalAlignment "top" ForegroundColor "red" Text "A DIFFERENT CONTROLLER CAN BE USED \nWITH PROG" "RAM paq.m AND delta tools FOR POLE ASSIGNMENT DESIGN" DropShadow on FontName "Arial" FontSize 14 } Annotation { Position [701, 230] Text "Input and output ports\nhave been defined to\nu" "se the dlinmod command " FontName "Arial" FontSize 11 } } }