Model { Name "dead1" Version 3.00 SimParamPage "Solver" SampleTimeColors off InvariantConstants off WideVectorLines off ShowLineWidths off ShowPortDataTypes off StartTime "0.0" StopTime "40" SolverMode "SingleTasking" Solver "ode45" RelTol "1e-3" AbsTol "1e-3" Refine "1" MaxStep "0.010" InitialStep "auto" FixedStep "auto" MaxOrder 5 OutputOption "RefineOutputTimes" OutputTimes "[]" LoadExternalInput off ExternalInput "[t, u]" SaveTime on TimeSaveName "t" SaveState off StateSaveName "xout" SaveOutput off OutputSaveName "yout" LoadInitialState off InitialState "xInitial" SaveFinalState off FinalStateName "xFinal" SaveFormat "Matrix" LimitMaxRows off MaxRows "1000" Decimation "1" AlgebraicLoopMsg "warning" MinStepSizeMsg "warning" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" InheritedTsInSrcMsg "warning" IntegerOverflowMsg "warning" UnnecessaryDatatypeConvMsg "none" Int32ToFloatConvMsg "warning" SignalLabelMismatchMsg "none" ConsistencyChecking "off" ZeroCross on SimulationMode "normal" BlockDataTips on BlockParametersDataTip on BlockAttributesDataTip off BlockPortWidthsDataTip off BlockDescriptionStringDataTip off BlockMaskParametersDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off OptimizeBlockIOStorage on BufferReuse on BooleanDataType off RTWSystemTargetFile "grt.tlc" RTWInlineParameters off RTWRetainRTWFile off RTWTemplateMakefile "grt_default_tmf" RTWMakeCommand "make_rtw" RTWGenerateCodeOnly off ExtModeMexFile "ext_comm" ExtModeBatchMode off ExtModeTrigType "manual" ExtModeTrigMode "oneshot" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect off Created "Tue Jan 18 20:16:31 2000" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" ModifiedDateFormat "%" LastModifiedDate "Tue Jan 18 20:20:18 2000" ModelVersionFormat "1.%" ConfigurationManager "none" BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } System { Name "dead1" Location [2, 74, 802, 560] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" AutoZoom on ReportName "simulink-default.rpt" Block { BlockType TransferFcn Name "Controller" Position [210, 121, 360, 189] ForegroundColor "red" DropShadow on FontName "Arial" FontSize 14 Numerator "[1 3.29 2.57]" Denominator "[1 7 0]" } Block { BlockType Lookup Name "Coulombic\nFriction" Position [400, 130, 455, 180] ForegroundColor "red" DropShadow on ShowName off FontName "Arial" FontSize 14 InputValues "[-1,0,0,1]" OutputValues "[-gain-ini -ini ini gain+ini]" MaskType "Coulombic Friction" MaskDescription "Coulombic Friction\ny = sign(x) * (Gain * abs(x" ") + Offset)" MaskHelp "This block has a discontinuity\\nat zero and a " "linear gain afterward.\\ny= sign(x)*(Gain*abs(x)+Offset)" MaskPromptString "Offset discontinuity at zero:|Gain:" MaskStyleString "edit,edit" MaskTunableValueString "on,on" MaskCallbackString "|" MaskEnableString "on,on" MaskVisibilityString "on,on" MaskVariables "ini=@1;gain=@2;" MaskInitialization "x=max(ini,gain+ini);" MaskDisplay "plot([-1 0 0 1],[-gain-ini, -ini, ini, gain+ini" "],[-1 1],[0 0],[0 0],[-x,x])" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "dz|1" } Block { BlockType Step Name "Dist" Position [405, 211, 440, 239] BackgroundColor "cyan" DropShadow on FontName "Arial" FontSize 14 Time "10" Before "0" After "1" SampleTime "0" } Block { BlockType Mux Name "Mux" Ports [2, 1, 0, 0, 0] Position [655, 16, 705, 69] ForegroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 Inputs "2" DisplayOption "none" } Block { BlockType SubSystem Name "Process " Ports [2, 1, 0, 0, 0] Position [535, 120, 595, 260] BackgroundColor "yellow" DropShadow on ShowName off FontName "Arial" FontSize 14 ShowPortLabels off MaskType "Plant" MaskDisplay "disp('PLANT')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "Process " Location [268, 366, 696, 537] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "yellow" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" AutoZoom on Block { BlockType Inport Name "in_1" Position [35, 55, 55, 75] ForegroundColor "blue" DropShadow on FontName "Arial" FontSize 14 Port "1" PortWidth "-1" SampleTime "-1" DataType "auto" SignalType "auto" Interpolate on } Block { BlockType Inport Name "in_2" Position [35, 100, 55, 120] ForegroundColor "blue" DropShadow on FontName "Arial" FontSize 14 Port "2" PortWidth "-1" SampleTime "-1" DataType "auto" SignalType "auto" Interpolate on } Block { BlockType DeadZone Name "Dead Zone" Position [90, 52, 120, 78] ForegroundColor "blue" DropShadow on FontName "Arial" FontSize 14 LowerValue "-dz" UpperValue "dz" } Block { BlockType TransferFcn Name "G2" Position [280, 69, 320, 111] DropShadow on FontName "Arial" FontSize 14 Numerator "[2]" Denominator "[1 0]" } Block { BlockType TransferFcn Name "G3" Position [160, 44, 200, 86] DropShadow on FontName "Arial" FontSize 14 Numerator "4" Denominator "[1 2]" } Block { BlockType Sum Name "Sum" Ports [2, 1, 0, 0, 0] Position [225, 43, 250, 132] DropShadow on ShowName off FontName "Arial" FontSize 14 IconShape "rectangular" Inputs "++" SaturateOnIntegerOverflow on } Block { BlockType Outport Name "out_1" Position [385, 80, 405, 100] ForegroundColor "green" DropShadow on FontName "Arial" FontSize 14 Port "1" OutputWhenDisabled "held" InitialOutput "0" } Line { SrcBlock "G2" SrcPort 1 DstBlock "out_1" DstPort 1 } Line { SrcBlock "in_1" SrcPort 1 DstBlock "Dead Zone" DstPort 1 } Line { SrcBlock "Dead Zone" SrcPort 1 DstBlock "G3" DstPort 1 } Line { SrcBlock "Sum" SrcPort 1 DstBlock "G2" DstPort 1 } Line { SrcBlock "G3" SrcPort 1 DstBlock "Sum" DstPort 1 } Line { SrcBlock "in_2" SrcPort 1 DstBlock "Sum" DstPort 2 } } } Block { BlockType SignalGenerator Name "Ref" Position [20, 125, 60, 155] BackgroundColor "cyan" DropShadow on FontName "Arial" FontSize 14 WaveForm "square" Amplitude "1.000000" Frequency "0.100000" Units "rad/sec" } Block { BlockType Scope Name "Scope" Ports [1, 0, 0, 0, 0] Position [730, 16, 770, 74] BackgroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 Floating off Location [6, 271, 733, 576] Open off NumInputPorts "1" TickLabels "on" ZoomMode "on" List { ListType AxesTitles axes1 "%" } Grid "on" TimeRange "40.000000" YMin "-2" YMax "2" SaveToWorkspace off SaveName "ScopeData" DataFormat "Matrix" LimitMaxRows on MaxRows "5000" Decimation "1" SampleInput off SampleTime "0" } Block { BlockType Sum Name "Sum" Ports [2, 1, 0, 0, 0] Position [140, 127, 160, 178] ForegroundColor "red" DropShadow on ShowName off FontName "Arial" FontSize 14 IconShape "rectangular" Inputs "+-" SaturateOnIntegerOverflow on } Block { BlockType ToWorkspace Name "To Workspace" Position [660, 176, 715, 204] BackgroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 VariableName "y" Buffer "10000" Decimation "1" SampleTime "0" SaveFormat "Matrix" } Block { BlockType ToWorkspace Name "To Workspace1" Position [235, 71, 290, 99] BackgroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 VariableName "r" Buffer "10000" Decimation "1" SampleTime "0" SaveFormat "Matrix" } Block { BlockType ToWorkspace Name "To Workspace3" Position [520, 71, 575, 99] BackgroundColor "green" DropShadow on ShowName off FontName "Arial" FontSize 14 VariableName "u" Buffer "10000" Decimation "1" SampleTime "0" SaveFormat "Matrix" } Line { SrcBlock "Sum" SrcPort 1 DstBlock "Controller" DstPort 1 } Line { SrcBlock "Controller" SrcPort 1 DstBlock "Coulombic\nFriction" DstPort 1 } Line { SrcBlock "Process " SrcPort 1 Points [10, 0] Branch { Points [0, 120; -505, 0; 0, -145] DstBlock "Sum" DstPort 2 } Branch { Points [24, 0] Branch { DstBlock "To Workspace" DstPort 1 } Branch { Points [-10, 0; 0, -135] DstBlock "Mux" DstPort 2 } } } Line { SrcBlock "Dist" SrcPort 1 DstBlock "Process " DstPort 2 } Line { SrcBlock "Coulombic\nFriction" SrcPort 1 Points [29, 0] Branch { DstBlock "Process " DstPort 1 } Branch { Points [0, -70] DstBlock "To Workspace3" DstPort 1 } } Line { SrcBlock "Mux" SrcPort 1 DstBlock "Scope" DstPort 1 } Line { SrcBlock "Ref" SrcPort 1 Points [14, 0] Branch { Points [16, 0] Branch { DstBlock "Sum" DstPort 1 } Branch { Points [0, -55] DstBlock "To Workspace1" DstPort 1 } } Branch { Points [0, -110] DstBlock "Mux" DstPort 1 } } Annotation { Position [347, 332] VerticalAlignment "top" ForegroundColor "blue" Text "LOOP TO EVALUATE DEADZONE COMPENSATION " DropShadow on FontName "Arial" FontSize 14 } Annotation { Position [347, 367] VerticalAlignment "top" ForegroundColor "red" Text "THE USER SHOULD ASSIGN A VALUE TO THE VARIABLE" " dz " DropShadow on FontName "Arial" FontSize 14 } } }